Welcome![Sign In][Sign Up]
Location:
Search - sigma Delta vhdl

Search list

[Other resourceFractionalPLLDesign

Description: 是关于sigma delta PLL设计的详细论文,论文中有具体的设计细节,并在附录中有相应的matlab、vhdl code
Platform: | Size: 3802757 | Author: linxin | Hits:

[VHDL-FPGA-Verilogadc_verilog

Description: adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品-adc verilog Verilog prepared using sigma-delta adc examples used in the measurement adc Product category
Platform: | Size: 3072 | Author: 张鸿 | Hits:

[matlabFractionalPLLDesign

Description: 是关于sigma delta PLL设计的详细论文,论文中有具体的设计细节,并在附录中有相应的matlab、vhdl code-Is about the design of sigma delta PLL detailed papers, papers in the specific design details, and in the appendix corresponding matlab, vhdl code
Platform: | Size: 3802112 | Author: xin | Hits:

[VHDL-FPGA-VerilogAll_Digital_DC2DC_Converters_on_FPGA

Description: The FPGA can realize a more optimized Digital controller in DC/DC Converters when compare to DSPs. In this paper, based on the FPGA platform, The theoretical analysis, characteristics, simulation and design consideration are given. The methods to implement the digital DC/DC Converters have been researched. The function module, state machine of digital DC/DC controller and high resolution DPWM with Sigma- Delta dither has been introduced. They are verified by experiments on a 20 W, 300 KHz non-isolated synchronous buck converters.
Platform: | Size: 115712 | Author: gsbnd | Hits:

[VHDL-FPGA-Verilog61EDA_D1116

Description: A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD. A better understanding of the Delta-Sigma DAC can-A PLD Based Delta-Sigma DAC
Platform: | Size: 59392 | Author: 郭晨 | Hits:

[Other systemssigmadelta_verilog_code

Description: sigma delta verilog code and testbench for you to do simulation
Platform: | Size: 1024 | Author: zhhy3818 | Hits:

[VHDL-FPGA-Verilogadc_spi

Description: dsp通过SPI接口数据采集 sigma-delta ADC采集程序-dsp through the SPI interface, data acquisition sigma-delta ADC acquisition program
Platform: | Size: 8192 | Author: xingtian | Hits:

[VHDL-FPGA-Verilogsamlecode.vhdl

Description: This document lists the basic function of a vhdl code including the entity and ending with archetecture. Also it has a sample code of pwm vs sigma delta signals output.
Platform: | Size: 17408 | Author: Jas | Hits:

[VHDL-FPGA-Verilogdecimator

Description: Digital filter in delta-sigma ADC. But only work for RTL code now. Still have bugs in gate-level simulation.
Platform: | Size: 1024 | Author: DrCheese | Hits:

[VHDL-FPGA-VerilogA-FPGA-Based-Delta-Sigma-DAC

Description: 用FPGA实现AD转换的代码,使用VHDL语言编写-A FPGA Based Delta-Sigma DAC
Platform: | Size: 59392 | Author: wang | Hits:

[Data structsVHDL_model_16_bit_DAC_sigma_delta

Description: VHDL code for a sigma delta DAC 8 bit
Platform: | Size: 1024 | Author: Proust | Hits:

CodeBus www.codebus.net